vhdl

    4Hitze

    1Antwort

    Dies sind meine Deklarationen. Warum identifiziert es sich nicht als Typ? Ich habe die numeric_std-Bibliothek importiert, und in der Dokumentation sehe ich, dass sie signed und unsigned unterstützt. W

    9Hitze

    3Antwort

    VHDL-Konfigurationen können verwendet werden, um Komponenten an Entitäten mit einem anderen Namen und sogar mit völlig anderen Ports zu binden. [see this article for more info] configuration c2 of tes

    4Hitze

    2Antwort

    Für eine Klasse wurde ich gebeten, eine VHDL-Prozedur zu schreiben, die zwei ganzzahlige Eingaben A und B benötigt und A durch A + B und B durch A-B ersetzt. Ich habe das folgende Programm und die Tes

    3Hitze

    2Antwort

    Ich synthetisierte ein kleines Gerät, um die Block-Ram-Inferenz zu testen. Ich bekam eine Nachricht von XST: Der kleine RAM implementiert auf LUTs, um Maximierung der Leistung sein und RAM-Ressourcen

    1Hitze

    1Antwort

    Ich begann vor ein paar Tagen mit VHDL Kurs für Anfänger. Ich habe einen Code (unter) und ich versuche zu verstehen, welche Art von Schaltung es zeigt und wie die verschiedenen Schritte funktionieren.

    0Hitze

    1Antwort

    Ich habe ein Problem mit einem Pseudozufallszahlengenerator mit einem Zähler, um zu überprüfen, ob ich mit irreducible Polynom dealling bin. Der Geenator funktioniert ohne Probleme, aber der Zähler fu

    2Hitze

    2Antwort

    ich eine Frage über die if-Anweisung in VHDL habe, siehe Beispiel unten ;-) signal SEQ : bit_vector(5 downto 0); signal output: bit; ------- if(SEQ = "000001") and (CNT_RESULT = "111111")

    6Hitze

    1Antwort

    Ich versuche, Zeitmultiplexing zu implementieren, um eine 7-Segment-Anzeige mit 4 Ziffern zu fahren: Das Gerät verfügt über 7 Datenbeine und 4 Anoden, also wenn Sie vier anzeigen möchten verschiedene

    5Hitze

    3Antwort

    Wenn ich eine unsigned(MAX downto 0) mit dem Wert 2**MAX - 1 habe, definieren die VHDL (87 | 93 | 200X) Standards, was passiert, wenn ich sie um eins inkrementiere? (Oder ähnlich, wenn ich oder vermin

    10Hitze

    1Antwort

    Ich entwickle ein kleines Ding in VHDL und bin ziemlich neu dazu. Ich habe Probleme herauszufinden, wie man einen größeren std_logic_vector in einen kleineren schneidet. Zum Beispiel habe ich 3 Signal