Ich habe diesen VHDL-Code für einen 3-Bit-Aufwärts-/Abwärtszähler, aber wenn ich es simuliere, gib kein Ausgangsergebnis, was ist falsch ??VHDL 3-Bit-U/D-Zähler
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity counter is
Port (rst,clk : in STD_LOGIC;
up: in bit;
z : out STD_LOGIC_vector(2 downto 0));
end counter;
architecture Behavioral of Counter is
signal zint: STD_LOGIC_vector(2 downto 0) ;
begin
z<= zint;
process (clk)
begin
if (clk' event and clk='1') then
if (rst ='1') then
zint <= "000" ;
end if;
if (zint <= "111")then zint <= "000";
elsif (up='1') then zint <= zint+1;
else zint <= zint-1;
end if;
end if;
end process;
end Behavioral;
Willkommen bei Stack-Überlauf . Normalerweise ist es besser, ein [MCVE] (http://stackoverflow.com/help/mcve) zu geben, damit jemand anderes deine Schuld reproduzieren kann, aber in diesem Fall denke ich, dass ich sehen kann, was falsch ist. –
Bitte den Code einrücken. – Paebbels
Bitte zeigen Sie Ihre Testbench. Was meinst du mit _wird kein Ausgabeergebnis geben_? –