Ich mache eine LOTTT von Pipelining mit variierenden Breite Signale und wollte ein SYNTHESIZEABILES Modul, in dem ich 2 Parameter übergeben konnte: 1) Anzahl der Rohre (L) und 2) Breite des Signals (W).Synthetisierbare Verilog modulare Schieberegister
So muss ich nur das Modul instanziieren und 2 Werte übergeben, die so einfach und robust ist, als Lasten und Lasten der Signalausbreitung über Dummy-Register zu tippen ... anfällig für Fehler und alles.
Ich habe HALF den Verilog-Code geschrieben, bitte bitten Sie mich zu korrigieren, wenn ich falsch liege.
I AM FACING COMPILE ERROR ... SEE COMMENTS
*****************************************************************
PARTIAL VERILOG CODE FOR SERIAL IN SERIAL OUT SHIFT REGISTER WITH
1) Varying number of shifts/stages : L
2) Varying number of signal/register width : W
*****************************************************************
module SISO (clk, rst, Serial_in, Serial_out); // sIn -> [0|1|2|3|...|L-1] -> sOut
parameter L = 60; // Number of stages
parameter W = 60; // Width of Serial_in/Serial_out
input clk,rst;
input reg Serial_in;
output reg Serial_out;
// reg [L-1:0][W-1:0] R;
reg [L-1:0] R; // Declare a register which is L bit long
always @(posedge clk or posedge rst)
begin
if (rst) // Reset = active high
//**********************
begin
R[0] <= 'b0; // Exceptional case : feeding input to pipe
Serial_out <= 'b0; // Exceptional case : vomiting output from pipe
genvar j;
for(j = 1; j<= L; j=j+1) // Ensuring ALL registers are reset when rst = 1
begin : rst_regs // Block name = reset_the_registers
R[L] <= 'b0; // Verilog automatically assumes destination width @ just using 'b0
end
end
else
//**********************
begin
generate
genvar i;
for(i = 1; i< L; i=i+1)
begin : declare_reg
R[0] <= Serial_in; // <---- COMPILE ERROR POINTED HERE
R[L] <= R[L-1];
Serial_out <= R[L-1];
end
endgenerate;
end
//**********************
endmodule
//**********************
Warum brauchen Sie eine 'Generate'? Haben Sie gerade versucht die einfache' for' Schleifen? – toolic
Ich lerne Verilog. Posted meine erste und sofortige Versuch. Ich bin auch auf der Suche at for loops – Displayname