2016-07-14 11 views
-1
bit id_pkt ; 
    id_pkt++ ; 

Ich fand dieses Code-Snippet beim Lernen einiger Aspekte von SV. Nun, ist 'Bit' kein 2-State-Datentyp? Also technisch sollte es nur entweder 0 oder 1 richtig machen? Wie können Sie eine Variable des Bit-Datentyps weiter erhöhen? Oder hat eine Bit-Typ-Variable einige Standard-32-Bits? Und das ist auch eine gültige Bitvariable -> 110000?Bit-Datentyp in SystemVerilog

Antwort

1

Ja, das kann ein einzelnes Bit nur nehmen die Werte 0 und 1. So id_pky++ den Wert von 0 auf 1 wechselt und 1 bis 0.

0
module testthebit ; 
initial begin 
    bit wr_rd ; 

for (int i = 0; i<10; i++) 
    begin 
    $display(" The value of wr_rd is %0h",wr_rd) ; 
    wr_rd++ ; 

    end 
end 

endmodule 

Ja, so schrieb ich dieses Modul und die Ergebnisse waren wie Sie @ dave_59 vorhergesagt: -

der Wert von wr_rd 0

der Wert von wr_rd 1

der Wert wr_rd ist 0 ist

Der Wert von wr_rd 1

Der Wert von wr_rd 0

Der Wert von wr_rd 1

Der Wert von wr_rd 0

Der Wert von wr_rd 1

der Wert von wr_rd 0

der Wert von wr_rd 1