2013-10-14 6 views
5

Ich bin ein Student im zweiten Jahr.Was wird zum Kompilieren und Simulieren von Verilog-Programmen unter Mac OS X 10.6.8 verwendet?

Ich bin verpflichtet, Verilog-Programme als Teil meines Lehrplans zu simulieren. Aber leider benutzt mein College Xilinx ISE und es ist nicht für Mac verfügbar.

Also bitte helfen Sie mir mit der besten Software und auch einige detaillierte Schritte zur Installation und Verwendung von ihnen.

Vielen Dank im Voraus :):. D

+4

virtuelle Maschine installieren können? – prodigitalson

Antwort

6

Sie Icarus Verilog versuchen könnten, die einen freien Verilog-Simulator ist.

Nach den Anweisungen here Sie Icarus Verilog auf Mac OS X.