alu

    0Hitze

    1Antwort

    Ich bin ein Anfänger in der Scheme-Sprache, so habe ich Probleme beim Schreiben einer Prozedur, um eine n-Bit-Nummer aufzunehmen und in eine ALU zu schreiben. Die ALU soll mit 1-Bit-ALUs aufgebaut wer

    1Hitze

    1Antwort

    Ich mache n-Bit-ALU in Schema und ich habe eine 1-Bit-ALU so weit. Kann mir jemand sagen, wie ich dieses Problem angehen soll? Hier sind die Anweisungen für sie: Das Format des Verfahrens ist (ALUn se

    16Hitze

    5Antwort

    Obwohl ich diese Hausaufgaben getaggt habe, ist es eigentlich für einen Kurs, den ich alleine mache. Wie auch immer, der Kurs heißt "From Nand to Tetris" und ich hoffe, dass jemand hier den Kurs geseh

    2Hitze

    1Antwort

    Ich versuche, eine ALU mit Strukturcode in VHDL zu erstellen. Der Code war ursprünglich in Verilog und dann änderte ich ihn manuell auf VHDL, deshalb habe ich viele separate Dateien ... aber in der Th

    9Hitze

    1Antwort

    Wie werden Bit-Shifts auf Hardware-Ebene implementiert, wenn die Anzahl der Verschiebungen unbekannt ist? Ich kann mir nicht vorstellen, dass es eine separate Schaltung für jede Zahl gibt, die Sie ver

    -2Hitze

    1Antwort

    Ich bin die Überprüfung Test, die ich vorher auf midterm bekam, aber die bereitgestellte Lösung nicht erklären, für eine Frage unten ... Hier ist Frage: Frage 11 Nehmen Sie an, dass die Multiplikator-