Ich möchte eine Eigenschaft in SVA schreiben, um ein Verhalten formal zu verifizieren.Wie schreibe ich Eigenschaft in System Verilog Assertions?
Hier ist, was ich will:
property prop1(sig1,sig2,sig3,sig4);
@(posedge clk)
$fell(sig1) ##[1:$] first_match($fell(sig2)) ##0 sig3 |-> sig4 == sig3;
endproperty
Wie kann ich die obige Eigenschaft umschreiben, so dass nach sig1 fällt, bleibt es LOW während Auswertezyklen verbleibenden?
Anmerkung: Ich möchte nicht sig1 als disable iff (SIG1)
Dank setzen! Abschnitt
Ist "nach sig1 fällt, es bleibt LOW während verbleibenden Bewertungszyklen" Teil des _precondition_ oder _condition_? Mit anderen Worten, möchten Sie überprüfen, ob sig4 == sig3 _if_ sig1 niedrig bleibt oder wollen Sie _check_, dass sig1 niedrig geblieben ist und sig4 = sig3? –