Ich bin ein Assertion Verification Newbie versucht zu lernen, wie es richtig gemacht werden soll. Ich habe eine Menge Informationen über die Struktur und die technischen Details der Systemverilog + Be
Ich möchte eine Eigenschaft in SVA schreiben, um ein Verhalten formal zu verifizieren. Hier ist, was ich will: property prop1(sig1,sig2,sig3,sig4);
@(posedge clk)
$fell(sig1) ##[1:$] first_m
Wellenform: - Ich habe eine Eigenschaft wie: property p1;
a |=> (b == 1)[=2] ##1 (c == 1)[=2]
endproperty
Aber diese Eigenschaft funktioniert nicht gut für diese Wellenform, es isn arbeite nic