2016-06-20 20 views
0

Dies ist der Code und speicherte es als IR.vhd, während der Name des Projekts als „8051“"Top-Level-Design-Entity ist undefined" ... Was bedeutet es?

gespeichert, wenn ich versuche, ein VHDL-Programm in altera zu kompilieren es zeigt „Fehler (12007): Top -Edition "8051" ist nicht definiert "... was bedeutet das?

Bibliothek ieee;

use ieee.std_logic_1164.all; 

use ieee.std_logic_unsigned.all; 

use ieee.std_logic_arith.all; 



entity IR is 

port(clk,rst,pb1:in std_logic; 

irreg:in std_logic_vector(15 downto 0); 

ops:out std_logic_vector(2 downto 0); 

modes:out std_logic; 

loc1:out std_logic_vector(3 downto 0); 

loc2ordata:out std_logic_vector(7 downto 0)); 

end IR; 



architecture rtl of IR is 



signal ireg: std_logic_vector(15 downto 0); 



begin 



process (pb1) 

begin 

if(pb1='0')then --I am going to set up to feed in one instruction at a time 

ireg<=irreg; --the instruction is executed when pb1 is pressed 

end if; 

end process; 

ops<=ireg(15 downto 13); 

modes<=ireg(12); 

loc1<=ireg(11 downto 8); 

loc2ordata<=ireg(7 downto 0); 

end rtl; 
+1

Mögliche Duplikate von [Altera Quartus Fehler (12007): Top-Level-Design-Entität "Alt \ _ex \ _1" ist undefined] (http://stackoverflow.com/questions/25832326/altera-quartus-error-12007- top-level-design-entity-alt-ex-1-is-undefined) – Qiu

+0

Überprüfen Sie den Link von @Qiu oder, wenn Sie Tcl-Konsole verwenden, können Sie den folgenden Befehl ausführen, um Ihre Top-Level-Entität zu setzen: 'set_global_assignment -name TOP_LEVEL_ENTITY IR' –

Antwort

0

Etwas, das ich bemerkt habe, ist, dass der Entitätsname der obersten Ebene mit dem Dateinamen und dem Modulnamen identisch sein muss. Wenn Sie also die IR der obersten Ebene aufgerufen haben, muss die Datei wahrscheinlich IR.v. Jetzt kapitalisiere ich nie meine Dateinamen, also weiß ich nicht wirklich, ob die Groß-/Kleinschreibung wichtig ist.