Ich möchte den Switch0
Wert auf den LEDs angezeigt bekommen.VHDL - FPGA4U - Get Switch Werte
hier meine Entity:
port(
switchA : in std_logic_vector(7 downto 0)
);
Und hier ist meine individuelle Art:
type text_type is array (0 to 7) of std_logic_vector(63 downto 0);
signal text, nexttext, shiftedtext : text_type;
signal countertext, nextcountertext: std_logic_vector(15 downto 0);
ich diesen Code versucht, aber es funktioniert nicht!
if(button_n(0)='1')then
nextstate<=Finish;
countertext <= (0 to 7 => switchA , others => '0'); --- Get SwitchA Value!! ---
end if;
Hier ist ein FPGA4U-Vorstand: FPGA4U Board
Und am Ende, hier ist ein Beispiel für ermöglichen einige LEDs
when names1 =>
nextstate<=test2;
nextcountertext<=(others=>'0');
nexttext <=("0000000000000000000000000000000000000000000000000000000000000000",
"0000000000000000000000000000000000000000000000000000000000000000",
"0000000000000000000000000000000000000000000000000000000000000000",
"0000000001111100011111110000111000100010000000000000000000000000",
"0000000000010000100010001001000100110010000000000000000000000000",
"0000000000010000100010001001111100101010000000000000000000000000",
"0000000000010000100010001001000100100110000000000000000000000000",
"0000000001111100100010001001000100100010000000000000000000000000");
-- This Code Show "IMAN" on The LEDs!!
Kann mir jemand bitte helfen? Ich bin wirklich verwirrt.
Der Code, der nicht funktioniert, ist ein isoliertes Fragment. Im richtigen Kontext könnte es funktionieren. Bitte poste ein kleines kompilierbares Beispiel. –