2016-07-03 21 views
0

Ich habe gehört, dass während der Kompilierung die maximale Frequenz des kompilierten Designs geschätzt wird und irgendwo in Logs angezeigt werden kann - mein Problem ist, ich kann es nicht finden. Irgendeine Idee, wo es in Quartus 13.0 liegt? Screenshot wäre super, danke im Voraus für Hilfe.Maximale Frquenz meines FPGA-Designs in Quartus (Altera)

Antwort

0

Sie können die maximale Frequenz sehen (von Ihrem Design) in dem Bericht des Time Quest Timing-Analyzer: Altera Quartus

Edit: Durch die Art und Weise Sie auch die maximale Frequenz in der Protokolldatei überprüfen, ob Sie möchten die GUI nicht verwenden. Überprüfen Sie in Ihren Synthese-Ergebnissen den TimeQuest Timing Analyzer Bericht: unitname.sta.rpt

+0

Ich denke, ich habe es gefunden, aber es sieht aus wie ich mache etwas falsches - http://i.imgur.com/wj6YMFF.png. Ich nehme an, ich soll Quartus irgendwie sagen, welche Linie meine Uhr ist? Wo kann ich das machen? – Benji

+0

Können Sie mir Ihre kritischen Warnungen und Warnungen zeigen? Vielleicht geben sie einen Hinweis, warum Sie das Timing-Ergebnis nicht erhalten. –