2016-04-15 10 views
2

Ich möchte die Uhr des BASYS 3 für mein Projekt verwenden. Wenn ich nach der Einschränkung des Projekts suche, habe ich folgenden Code gefunden:Verwenden der Uhr auf BASYS 3

set_property PACKAGE_PIN W5 [get_ports clk] 
set_property IOSTANDARD LVCMOS33 [get_ports clk] 
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] 

Kann mir jemand das erklären? Ich weiß, clk ist der Eingang für die Uhr, aber in der letzten Zeile, was soll ich tun? Sollte ich etwas ändern? Auch welche Periode 10.00 und waveform{0 5} bedeutet? Kannst du mir bitte Helfen?

Antwort

3

Diese Zeilen sind Xilinx Design Constraints (XDC), die eine Art Synopsys Design Constraints (SDC) darstellen.

Zuerst müssen Sie zwischen physischen Einschränkungen (Zeile 1-2) und Zeitbeschränkungen (Zeile 3) unterscheiden. Diese werden in verschiedenen Schritten im Design-Flow benötigt.

set_property PACKAGE_PIN W5 [get_ports clk] 

Diese Linien verbinden Sie Ihr Top-Level-Port clkW5 zu.

set_property IOSTANDARD LVCMOS33 [get_ports clk] 

Diese Linie stellt den I/O-Standard benötigt, durch die Analyse für die Anstiegszeit und Abfallzeiten an dem Stift, in einem Setup resultierende/Haltezeitfenster

create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] 

Dies definiert ein Taktsignal von 100 MHz mit 50% Einschaltdauer für Draht clk. Wenn Sie in einer anderen Anweisung auf diese Uhr verweisen müssen, können Sie den Namen sys_clk_pin verwenden.