2016-05-18 22 views
0

Nachdem ich ein Projekt (mit Quartus) mit einer Top-Level-Datei (VHDL) und einer Altera-spezifischen PLL kompiliert habe, habe ich versucht, es mit ModelSim zu simulieren.Wie kann altera lib für die Simulation mit ModelSim hinzugefügt werden?

Wenn ich die RTL-Simulation starte, sehe ich meine Top-Level-Datei im Ordner work (im Bibliotheksfenster), aber nicht die Altera-Instanz für die PLL (Verilog-Datei).

Frage: Wie kann ich ein Setup Quartus oder ModelSim, um meine Top-Level-Datei UND die Altera-Instanz für die PLL zu sehen?

+0

Sie können nicht inbult hardcore IP zugänglich für Ihre Verwendung verwenden. –

+0

Verwenden Sie die mit Quartus ausgelieferte ModelSim (Altera Edition)? Es sollte bereits alle Altera-Lieferantenbibliotheken enthalten. Wenn Sie einen externen Simulator wie QuestaSim verwenden, können Sie die Altera-Lieferantenbibliotheken aus dem Quartus-Hauptmenü kompilieren (Extras => Simulationsbibliotheks-Compiler starten) – Paebbels

Antwort

2

Die Altera spezifischen Module wie PLLs, sind am einfachsten mit der ModelSim Altera Edition (erhältlich mit Altera Quar5us) zu simulieren, die eine Reihe von Pre-Compiles Bibliotheken mit Paketen und Entities für die Altera spezifischen Blöcke enthält. Wenn Sie diese ModelSim Altera Edition noch nicht verwenden. Sie können die Altera-Bibliotheken in der ModelSim Altera Starter Edition (kostenlos) unten sehen.

enter image description here

Dann einfach Sie alle Module in einer Bibliothek zusammenstellen, einschließlich der Altera Quartus Wrapper für die PLL erzeugt wird, haben die Umhüllung sollte dann Verweise auf die verfügbaren Altera Bibliotheken mit dem Simulationsmodell der PLL.

Wenn dies nicht funktioniert, dann reduzieren Sie bitte Ihren Code auf ein Beispiel, das das Problem zeigt und hier veröffentlicht werden kann; siehe Minimal, Complete, and Verifiable example.

0

kompilieren Genau wie jedes vhld/Verilog-Quellcode:

vcom *.vhd 
vlog *.v 
vlog -sv *.sv 

Die Simulationsmodelle mit Quartus verfügbar sind installieren. Angenommen, Sie haben Quartus bei QUARTUS_DIR beispielsweise installiert, um einige VHDL Simulationsmodelle kompilieren Sie tun würde:

vlib work; 
vcom -work work QUARTUS_DIR/eda/sim_lib/altera_mf_components.vhd 
vcom -work work QUARTUS_DIR/eda/sim_lib/altera_mf.vhd 

Sie benötigen würde die Aufmerksamkeit auf die Reihenfolge der Zusammenstellung zu zahlen in den meisten Fällen. Sie können eine Altera-Modell-Simulationsbibliothek erstellen und diese dann einfach zuordnen, sodass Sie nicht für jedes Ihrer Projekte denselben Code kompilieren müssen.