vhdl

    0Hitze

    1Antwort

    Ich versuche, einen Shifter mit d-Flip-Flop als Komponente zu entwerfen .. Der Flip-Flop funktioniert gut .. aber der Shifter-Ausgang bleibt undefined, wie soll ich es beheben? dies ist der shiftier C

    1Hitze

    1Antwort

    Ich habe einen Zweifel in folgenden VHDL-Code in Bezug auf Indexüberlauf von len: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package mypack is subtype s

    0Hitze

    2Antwort

    Ich schrieb ein Bildbearbeitung Stück VHDL-Code. Zum Testen habe ich eine Datei mit Pixelwerten mit Matlab und einer relativ einfachen Testbench erstellt (sie füllt nur die Werte von der Datei bis zur

    0Hitze

    1Antwort

    Ich verwende Xilinx Systemgeneratorblöcke in Matlab. Ich verwende einfach nur eine Blackbox mit einem Gateway in und Gateway aus. Der Code für die Black-Box ist sehr einfach und library IEEE; use IEE

    1Hitze

    1Antwort

    Ich habe ein Projekt zum Erstellen einer ALU mit Uhr und Reset-Signale, aber für den folgenden Code erscheint dieser Fehler "Illegal sequentielle Anweisung". Ich denke, das Problem besteht in der Inst

    0Hitze

    1Antwort

    Ich habe versucht, das Problem zu lösen, aber ich habe eine andere Tabelle als die Tabelle, die Xilinx zeigt. Ich habe sowohl meine Antwort als auch meine echte Antwort gefunden. Xilinx zeigt, dass "o

    0Hitze

    1Antwort

    Ich synthetisierte meine VHDL-Code. Als ich den RTL-Viewer sah, erwartete ich ein weiteres Ergebnis. Ich habe einen State-Machine-Build mit VHDL-Code (siehe unten) und habe das folgende Ergebnis (sieh

    1Hitze

    2Antwort

    Ich brauche Hilfe mit Vivado 2015.4. VHDL Ich habe eine Testbench-Datei zu meinem Projekt hinzugefügt und es hatte einige Fehler darin. Nachdem ich diese Fehler geändert habe, aber wenn ich die Simula

    1Hitze

    2Antwort

    bestimmen Wenn ich meinen Code versuche zu kompilieren es einen Fehler in dem folgenden Teil hat: overflow <= input_a(15) + input_b(15); ich die input_a und input_b als 15-Bit-Vektoren erklärt hatte

    -1Hitze

    2Antwort

    library IEEE; use IEEE.STD_LOGIC_1164.all; entity paralel_reg is generic (default : positive := 4); port(C, notR, E: in std_logic; D: in std_logic_vector(default downto 1); Q: out st